《FinFET之后,集成电路怎么发展?》

  • 来源专题:半导体工艺技术
  • 发布时间:2018-08-29
  • 芯片制造商已经在基于 10nm 和/或 7nm finFET 准备他们的下一代技术了,但我们仍然还不清楚 finFET 还能坚持多长时间、用于高端设备的 10nm 和 7nm 节点还能延展多久以及接下来会如何。

    在 5nm、3nm 以及更小节点,半导体行业还面临着巨大的不确定性和许多难题。即使在今天,随着每个节点的工艺复杂度和成本的上升,传统的芯片尺寸缩减也在放缓。因此,能够负担先进节点芯片设计的客户越来越少。

    理论上,正如英特尔所定义的那样,finFET 有望延展到 5nm 节点。(一个完整延展的 5nm 工艺大致相当于代工厂的 3nm)。不管这些让人困惑的节点名称是啥,finFET 很可能将在 fin 宽度达到 5nm 时寿终正寝。所以在 5nm 或更先进的节点,芯片制造商将需要一种新的解决方案。否则传统的芯片缩放将会放缓或完全停滞。

    一段时间以来,芯片制造商已经为 5nm 及以后节点探索了各种各样的晶体管。到目前为止,仅有三星提供过细节。在 5 月份,该公司推出了自己的技术路线图,其中包括在 2020 年之前实现一种 nanosheet FET。

    其它芯片制造商也倾向于同一时间框架内的相似结构,即使它们还没有公开宣布它们的意图计划。 nanosheet FET 和其它变体、nanowire FET 都是属于环绕栅极(gate-all-around)类别。其它变体还包括hexagonal FET、nano-ring FET 和 nanoslab FET。

    图 1:水平式环绕栅极架构的类型,来源:高通、 Synopsys、Applied Materials

    目前来看,环绕栅极技术似乎是 finFET 之后最实用的技术。这是 finFET 之后的一步进化,它们具有很多同样的工艺步骤和工具。有一种横向环绕栅极技术基本上就是一个被栅极包裹着的侧向 finFET。细小的线或片用作信道。

    另外还有其它晶体管选项。一些芯片制造商甚至寻求使用先进的封装技术来进行扩展。供应商正在权衡各种选择以及寻求每种方法的技术价值和经济价值。“finFET 还能延展一两代,”英特尔一位资深研究员和工艺架构与集成总监 Mark Bohr 说,“但问题可能在于‘其中一种替代技术是更好的选择吗,它是否是环绕栅极的、III-V 族材料或隧道 FET?’如果我们不得不这样做,我们可以扩展 finFET。但问题在于‘还有更好的选择吗?’”

    所谓的 III-V 族材料,Bohr 是指通道中使用 III-V 族材料的 finFET,这可以极大提升器件中的迁移率。隧道 FET(TFET)是一种陡峭次阈值斜率器件(steep sub-threshold slope device),可以在很低的电压下运行。

    尽管环绕栅极技术气势正盛,但并不是每个人都会选择它——至少目前还没有。“我不一定赞同那一点,但它确实赢得了很多关注。”Bohr 在一次采访中表示,“现在就预测哪种技术会成功还为时尚早。但现在已经有足够多的好想法能确保还能再多续几代。”

    但是,分析师相信 10nm/7nm finFET 还将在可预见的未来里持续。International Business Strategies(IBS)首席执行官 Handel Jones 说:“(finFET 提供了)更高性能、更低功耗和更低成本的组合。”

    如果下一代晶体管在 5nm 或以后节点投入生产,那么这项技术将会非常昂贵且会受限于特定的应用。Jones 说:“很可能会采用环绕栅极,但主要的好处在于高性能。”据 IBS 报告称,在 5nm 节点将需要花费 4.76 亿美元来设计一款主流芯片,相较而言,在 7nm 节点为 3.492 亿美元,在 28nm 节点为 6290 万美元。

    图 2:IC 设计成本,来自 IBS

    为了帮助客户取得领先,Semiconductor Engineering 已经预先估计了未来的状况并突出强调了其中的难点工艺步骤。

    不同的选择

    未来至少有三条主要路径——暴力缩减尺寸、停留在成熟节点和先进封装。

    那些资金充足的公司很可能继续推进传统的尺寸缩减,实现 10/7nm 及以后节点。环绕栅极技术是 finFET 之后的领先者,至少目前来说是这样。更长期来看,还有其它选择,比如 III-V 族 finFET、互补式 FET(CFET)、TFET 和垂直纳米线(vertical nanowires)。垂直纳米线涉及到以垂直的方式对线进行堆叠。

    CFET 是一种更加复杂的环绕栅极技术,其中 nFET 和 pFET 线堆叠在彼此之上。当前的环绕栅极器件只堆叠一种类型的线,不管是 nFET 还是 pFET。

    CFET、TFET 和垂直纳米线是更具变革性的技术,预计在短期内无法实现。它们将需要新的突破。

    图 3:后几代晶体管架构,来自 Imec/ISS.

    所以高端玩家会怎么做?GlobalFoundries 首席技术官 Gary Patton 说:“7nm 将是一个长寿的节点。finFET 还有很长的路要走。finFET 仍然还有很大的扩展空间。”

    在 finFET 之后,研发方面存在多种选择。比如 GlobalFoundries 正在探索纳米片、纳米线和垂直纳米线。

    对于一项技术的决策和时机选择取决于各种技术和经济因素。“你要努力开发一种能够生产并能提供价值主张(value proposition)的工艺。”Patton 说,“这种事已经不如以往那样直观了。而需要远远更多的审查核验。”

    事实上,一项技术可能会持续处于研发阶段十年之久。然后根据一系列指标,最好的技术上市,其它技术则为之崩猝。

    但是可以确定,并非所有公司都会需要 finFET 和纳米线。大部分都会停留在 22nm 平面工艺或以上的节点。许多公司无力承担 finFET,而且模拟和射频等器件也不需要这种技术。

    “10nm、7nm 和 5nm 听起来很诱人。”联华电子公司(UMC)业务管理副总裁Walter Ng 说,“但有多少公司能真正负担得起并且把设计和制造费用赚回来?只有少数几家才能真正做到需求推动前沿。”

    但那些处于 22nm 及更高节点的公司也面临着一些挑战。“其它每家公司都需要了解它们可以怎样继续竞争。”Ng 说,“他们正在努力寻找一种实现差异化和压低成本的方式。”

    所以很多公司转向了先进封装。所有芯片都需要 IC 封装,比如说,客户可以使用传统的封装,比如倒装 BGA。先进封装是这一思想的延展,在同一封装集成多个 die 以创造高性能系统。2.5D/3D 和 fan-out 是这类方法的代表。

    所以这一市场的最终赢家会是谁?Coventor 首席技术官 David Fried 说:“还没有答案。人们确实还是寻找应用来推动实际的解决方案。”

    Fired 指出并不存在一种适用于所有应用的解决方案。比如,finFET 或后续技术的晶体管可以用于高端微处理器。“但对于物联网设备,这可能是个错误方向。”他说,“不存在一种能够推动整个市场的单一应用。人们必须停止寻找一劳永逸的答案。很多不同的技术可以在同一时间都获得成功,但它们针对的是不同的应用。”

    Fried 预测说:“我猜想 7nm 似乎是相当具有变革性的。将会是 finFET。如果我们看到变成了 finFET 之外的其它技术,那可能是在 5nm 节点了。但要记住,横向环绕栅极纳米线器件(lateral gate-all-around nanowire device)就像是使用了 2 次额外蚀刻的 finFET。从 finFET 到横向环绕栅极纳米线器件是相当变革性的。我希望我们能在 5nm 节点时开始看到它。除此之外,我们并没有太多可见性。”

    晶体管趋势和工艺

    现在 finFET 是前沿的晶体管技术。在 finFET 中,对电流的控制是通过在 fin 的三个侧面的每一个上实现一个栅极来实现的。

    栅极间距(gate-pitch)是一个关键指标。英特尔 10nm finFET 技术的栅极间距是 54nm,14nm 技术的栅极间距是 70nm。(英特尔的 10nm 相当于代工厂的 7nm)。

    当栅极间距接近 40nm 时,就需要重大决策了。根据 Imec 的仿真,finFET 开始接近 42nm 栅极间距了。Imec 半导体技术和系统执行副总裁 An Steegen 说:“纳米线将会向下扩展并且仍然会有很好的静电控制。”据 Imec 介绍,纳米线 FET 已经在 36nm 栅极间距上表现出了很好的静电控制能力。Imec 也已经设计出了一种直径低至 9nm 的纳米线。

    图 4:Imec 的微型纳米线,来自 Imec

    一般而言,环绕栅极可以提供比 finFET 更好的性能,但也存在一些难题,即驱动电流和寄生电容。将这些问题结合到一起的是一个相对新的层,被称为 middle-of-line(MOL)。MOL 使用一系列接触式结构将分离的晶体管和互连件连接起来。在 MOL 中,寄生电容是个问题。它会给器件的各个部分带来外部电阻。这包括与低电阻肖特基势垒和硅化物所在的结(junction)的接触。

    有一种版本是横向纳米线 FET,其中你是将一个 finFET 切成碎片,每一个碎片都变成一个微小的水平纳米线,用作源极和漏极之间的通道。

    其它常见变体还包括纳米片(nanosheet)或纳米板(nanoslab)FET。这两种技术都类似于横向纳米线 FET,但线要宽和厚很多。

    每一种变体都有各自的优势劣势。英特尔的 Bohr 说:“(纳米片 FET)并不如听起来那样具有变革性。它只是侧向放置的 finFET。不确定它是否有纳米线那样高的价值。”

    在纳米线 FET 中,环绕栅极整条线,可以实现对栅极的更好控制。Applied Materials 晶体管与互连组高级总监 Mike Chudzik 说:“正是这种改进过的栅极控制,使得你可以继续延展栅极长度。”

    正如前面说的那样,finFET 被切割成了碎片。因此,器件上的表面积会减少。Chudzik 说:“你正在失去硅本身的红利。我很肯定你能在截止电流上获得好处,但在整体驱动电流上会出现缺憾。”

    所以纳米片 FET 也是合理的。他解释说:“这就是你开始延长这些线的地方。你要获得更大的驱动电流。此外,你也可以调整这些线或片的形状来帮助降低电容。”

    另一个被称为 nano-ring FET 的版本也有类似的优势。他说:“nano-ring 的整个思想实际上就是将片稍微挤压到一起,这么做能够有效地降低电容。”

    第一款环绕栅极器件很可能将有三根线。但随着时间的推进,芯片制造商将需要堆叠更多的线以提供更多性能。他说:“我们肯定不想引入一种只能持续一个节点的新器件架构。(所以我们的想法)是考虑堆叠更多纳米板。但你不能只是不断无限地堆叠通道,因为你会遇到大量同样的寄生、电容和阻抗问题,正如你会在更高的 finFET 中遇到的那样。”

    作为未来的预兆,GlobalFoundries、IBM 和三星最近发表了一篇关于 5nm 和 3nm 节点纳米片 FET 的论文;称这项技术相比于 finFET,能在更小的 footprint 上得到更好的性能。

    图 5:(a) finFET、(b) 纳米线 (c) 纳米片的横截面仿真图,来自 IBM

    对一些层使用极紫外(EUV)光刻技术,来自这三家公司的纳米片 FET 有三个片或线。它有 12nm 的栅极长度、使用 5nm 硅通道的 44nm/48nm 接触的 poly pitch。据该论文称,nFET 有 75mV/decade 的亚阈值斜率(sub-threshold slope),而 pFET 的则为 85mV/decade。

    在实验室中,研究者堆叠了 3 层纳米片,每一片厚度为 5nm,中间有 10nm 的间隔。他们使用片宽度为 15nm 到 45nm 的单堆叠纳米片结构演示了逆变器和 SRAM。该论文称:“相比于具有继承于 finFET 的多个阈值和隔离解决方案的 extremely scaled finFET,它有更好的静电性能和动态性能。所有这些优势使得堆叠的纳米片器件成为了一种非常有吸引力的 finFET 替代选择,而且可以扩展到 5nm 及以后的器件节点,在图案化策略方面的复杂度也更低。”

    图 6:堆叠的纳米片工艺序列和 TEM,来自 IBM、Samsung、 GlobalFoundries.

    一般而言,环绕栅极和 finFET 的工艺步骤是类似的,只有一些例外。但这些例外使得环绕栅极技术具有了很大的挑战性。图案化、缺陷控制和变异性是其中的一些问题。

    环绕栅极的第一步就不同于 finFET。在环绕栅极中,目标是使用一个外延反应器(epitaxial reactor)在基底上构建一个超晶格结构。这个超晶格由交替的硅锗(SiGe)层和硅层构成。理想情况下,一个堆叠由 3 层 SiGe 和 3 层硅构成。

    然后,就像 finFET 流程一样,下一步涉及到浅沟槽隔离的形成。Applied Materials 的 Chudzik 说:“这个超晶格在硅锗和硅之间有 ultra-abrupt 结是至关重要的。”

    接下来是下一个关键步骤。在环绕栅极中,栅极不仅会围绕通道,而且还会围绕一些接触区域。这会增加这个混合结构的电容。Chudzik 说:“所以你需要形成所谓的 inner spacer,这里你实际上是将高 k 区域和源极-漏极区域隔开。这可以通过 ALD 类型的薄膜完成。”

    然后,使用一种替换工艺从这个超晶格结构中移除 SiGe 层。这会留下硅层和它们之间的间隔。每一个硅层都是纳米线的基础。

    掩模/光刻难题

    在这个工艺流程中,还有一系列光刻步骤。在 16nm/14nm 和 10nm/7nm 节点,芯片制造商使用的是今天的 193nm 浸没式光刻工具和多重图案化。

    在 7nm 和/或 5nm 节点,半导体行业希望加入 EUV。在 EUV 中,电源将等离子体转换成13.5nm 波长的光,从而在芯片上实现更精细的特征。

    芯片制造商希望为最困难的部分加入 EUV,即 metal1 和通孔。在其它许多步骤,还将继续使用传统的光刻技术。

    据 ASML 报道,与三重图案化相比,EUV 可以将金属线的成本降低 9%,将通孔的成本降低 28%。ASML 产品营销总监 Michael Lercel 说:“(EUV)可以消除晶圆厂的步骤。如果考虑执行多次浸没式光刻步骤的成本,再加上其他工艺步骤(如清洁和计量)的成本,我们相信相比于三重图案化浸没式和肯定的四重图案化等等,EUV 每层的成本更低。”

    但目前 EUV 还不足以用于生产。ASML 正在准备其最新的 EUV 扫描机 NXE:3400B。起初这款工具配置了一个 140W 的电源,可以实现 100 片晶圆/每小时(wph)的吞吐量。

    为了将 EUV 投入生产,芯片制造商需要 250W 的功率,实现 125 wph 的吞吐量。ASML 最近已经开发出了一款 250W 电源,将在明年年初出货。

    与此同时,EUV 抗蚀剂是另一个绊脚石。为了让 EUV 达到所需的吞吐量,行业需要 20mJ/cm² 剂量的抗蚀剂。“好的成像似乎需要更多,现在达到了 30mJ/cm² 到 40mJ/cm² 的范围。”Lam Research 技术管理总监 Richard Wise 说,“所以为了我们希望达到的目标,剂量不是必需的。”

    比如,据分析师称,在 30mJ/cm² 剂量下,250W 电源的 EUV 扫描机的吞吐量是 90 wph,这低于所需的 125wph 目标。

    但是开发所需剂量的抗蚀剂难度很大。Wise 说:“要降低剂量,存在大量的基础物理难题,因为 EUV 存在随机效应。”

    这涉及到一种被称为光子散粒噪声(photon shot noise)的现象。光子是光的基本粒子。在图案化的过程中,光子数量的变化会影响 EUV 抗蚀剂。它可能导致出现我们不想要的线边缘粗糙度(LER)——LER 的定义是理想形状上特征边缘的偏差。

    在行业攻坚抗蚀剂的同时,光掩模制造商也正在开发 EUV 掩模。今天的光掩模由一个在玻璃基底上的不透明铬层组成。而 EUV 掩模则是一种反射技术,由基底上交替的硅层和钼层组成。

    “我们需要 EUV 来避开三重图案化。”D2S 首席执行官 Aki Fujimura,“这意味着 EUV 掩模将会有比 ArF 掩模多很多的主特征,而且其中每一个特征都会很小。由于 EUV 能更准确地反映晶圆上的掩模偏差,所以 EUV 掩模需要印刷更多更小的结构,且每一个都要更准确。”

    要生产 EUV 掩模,光掩模制造商还需要一些新工具。比如他们需要更快的电子束掩模写入器。随着掩模特征越来越复杂,今天的单束电子束工具需要更长的时间来图案化或写入掩模。今天的电子束基于可变形束(VSB)技术。

    这个问题的解决方案是多束掩模写入器,今天已有 IMS 在提供多束掩模写入器了,可用于光掩模和 EUV 掩模,而 NuFlare 也正在开发多束工具。

    多束有助于改善掩模产量、周转时间和成本。Fujimura 说:“世界上大多数掩模仍然可以完美地使用 VSB 写入器制造,但关键的少数将需要多束写入,从而保证合理的写入时间。”

    他说:“最可能的情况是 EUV 将在 5nm 节点时就绪,一些掩模层将会有很高的多束写入需求。比如,如果一个掩模层包含大量非正交、非 45 度的特征,那么肯定会需要多束。193i 无法看到掩模上的小扰动,所以在有相对大的步进大小时,这些图案的‘曼哈顿化(Manhattanization)’效果良好。但是 EUV 可以做到更好,这会极大地增加发射数量,使得 VSB 写入不太可能实现。但这些是针对特定芯片的非常专门的掩模。对于绝大多数掩模层,尽管掩模上的主特征的数量会倍数式地暴增,但用来描绘装饰图案和 SRAF 的发射的数量却将大幅减少。具有足够精度的先进 VSB 写入器可能足以用于大多数 EUV 掩模了。”

    检测/计量难题

    在 5nm 及以后节点,检测和计量也是一大关键。“向垂直架构发展的趋势带来了缺陷隐藏的检测难题和描述复杂的计量难题。”KLA-Tencor 客户参与高级总监 Neeraj Khanna 说,“在这些节点,EUV 会被大量采用,这会推动新的随机和系统性的缺陷机制。随机问题将会带来对更高采样率的需求。”

    这意味着什么?Khanna 说:“我们预计这些新架构会带来对检测和计量的新需求。这个行业必须不断创新和拓展核心技术。”

相关报告
  • 《从财报看国产集成电路产业现状》

    • 来源专题:集成电路设计
    • 发布时间:2018-09-21
    • 因为内需和外困的双重推动,中国最近几年一直都在加大投入到集成电路研发当中,但是由于本身的技术水平差距比较大,国内的集成电路企业与国外的领先者相比,表现相对表现较差。但我们也应该能看到,在国内最近几年也涌现出了一些表现不错的公司,他们甚至凭借自己的表现走上了IPO之路。 近来,国内的集成电路上市公司都先后发布了其半年报,披露了他们上半年的公司业绩,我们来盘点一下其业绩表现,并与国外先进同行相比,看一下国内头部公司的整体现状。 晶圆代工:渐露曙光 中国大陆晶圆代厂中,上市的有中芯国际和华虹半导体两家,他们都是港股公司。其中中芯国际承担着国内追赶先进制程的任务,而华虹则是全球领先的8英寸晶圆代工厂商和特色工艺聚焦者。 在刚过去的上半年,中芯国际获得了创历史新高的17.22亿美元的营收,而去年同期他们的收入则为15.44亿美元。在毛利方面,今年上半年中芯国际的收入为4.38亿美元,同样也是创了历史新高,毛利率为25.4%。 中芯国际的财报 如果按照工艺划分,中芯国际上半年的主要营收是由0.15 /18 um工艺创造的,紧随其后的45nm及以后先进工艺,排名第三的则是55/65nm工艺。在先进工艺方面,据中芯国际联席CEO赵海军博士和梁孟松博士透露,中芯国际已经在14nm FinFET技术开发上获得重大进展,第一代FinFET技术研发已进入客户导入阶段。在28nm工艺方面,现在28nm的HKC版本产量正在持续上升,良率已达业界水准,并且新一代HKC+技术开发也已完成,加上“PolySiON”,形成了先进的平台。 来到华虹半导体方面,该公司创造了4.4亿美元的营收,毛利为1.44亿美元,毛利率高达32.8%。如果按照工艺划分,为华虹半导体贡献最多营收的是来自于0.35µm及以上的工艺,0.13µm及以下,紧跟着的是0.13µm及以下工艺,然后是0.15µm 及 0.18µm。剩下的小部分由0.25µm承担。 华虹半导体的财报 对比这两个企业的营收数据,从各自的定位看来。中芯国际在将梁孟松纳入麾下之后,可以看到他们对先进工艺的追逐,也可以明显看到在梁入主之后,中芯的工艺进展也屡传喜报。但从某种程度上看,中芯国际的发展是以台积电为目标的。我们可以看到这个差距还是相当明显。 数据显示,台积电在上半年的营收为160.71亿美元,毛利78.97亿美元,毛利率约为50%。值得一提的是,台积电上半年的净利润为54.2亿美元,净利率也高达33.7%,这是比中芯国际和华宏半导体的毛利率还高的水平。从工艺节点划分来看,28纳米工艺仍是营收的主力,占比达到了23%;10纳米晶圆出货量占据了总晶圆营收的13%;16/20纳米晶圆占25%;而古老的0.15/0.18微米(也就是150/180nm,诞生于2001年)工艺现在仍在给台积电带来营收,占比达到了9%。 至于7nm方面,按照台积电的说法,预计下半年将开始贡献收入,采用7纳米的客户包括苹果A12芯片、两大显卡厂商,以及手机端客户等。预估7纳米制程营收将占台积电第三季营收的10%,而今年第四季7纳米制程营收占比更将进一步提升至两成。 可以看到,取得大突破的中芯国际跟台积电差距依然明显,追赶之路,依然很漫长。且这不是一朝一夕能实现的。 至于华虹半导体,因为他们聚焦在特色工艺代工,得益于其关注的嵌入式非易失性存储器、分立器件、模拟和电源产品在过去一段时间的优越表现,华虹半导体能够一直获得不错的表现。相信在无锡的12寸厂投产以后,他们聚焦这个特色工艺会让他们表现更上一层楼。 半导体设备:全力追赶 国内在半导体设备方面也有了北方华创、长川科技、晶盛机电和盛美半导体等几家上市企业。 从财报上看,北方华创2018年上半年的营收为13.9亿元,同比增长33.44%。归属于上市公司的利润为1.19亿元,同比增长125.4%。扣非后的利润更是扭亏为盈,从去年的亏损一亿多,变为收入六千多万。回看北方华创过去几年的发展,营业收入和利润一直都在稳步增长。这主要得益于他们在半导体设备方面的表现。 北方华创过去几年的营收表现 北方华创是由北京七星华电科技集团有限责任公司(以下简称“七星集团”)、北京吉乐电子集团有限公司(以下简称“吉乐集团”)、 北京硅元科电微电子技术有限责任公司(以下简称“硅元科电”)、中国华融资产管理公司(以下简称“中 国华融”)、王荫桐、周凤英采取发起方式设立的股份有限公司。在电子工艺装备方面,公司主要聚焦于包括半导体装备、真空装备和新能源锂电装备在内的三大业务领域产品的研发和销售。 据公司介绍,北方华创所所提供的半导体设备及部件类产品包括刻蚀机、PVD、CVD、氧化炉、扩散炉、清洗机及MFC等7大类,面向集成电路、先进封装、半导体照明、微机电系统、功率半导体、化合物半导体、新能源光伏、平板显示等8个产品领域,涵盖了半导体生产前处理工艺制程中的大部分关键工艺装备。 从该公司2017年的财报可以看到,作为国家02重大科技专项承担单位,他们通过承担重大专项多项课题的科研任务,先后完成了12吋集成电路制造设备90-28nm等多个关键制程的攻关工作,目前所承担的02专项在研课题14nm制程设备也已交付至客户端进行工艺验证。充分利用在研发中形成的具有自主知识产权的核心技术体系,公司扩展研发应用,将产品陆续推向了高端集成电路装备市场。 所开发的用于12吋晶圆制造的刻蚀机、PVD、CVD、立式氧化炉、扩散炉、清洗机和气体质量流量控制器等设备产品已成功实现了产业化。其中28nm及以上技术代制程设备已批量进入了国内主流集成电路生产线量产,部分产品更成为了国内龙头芯片厂商的量产线Baseline机台;各类8英寸集成电路设备也全面进驻国内主流代工厂和IDM企业。 杭州长川科技主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备,集成电路测试设备主要包括测试机、分选机、探针台、自动化生产线等,目前本公司主要产品包括测试机和分选机。公司生产的测试机包括大功率测试机(CTT系列)、模拟/数模混合测试机(CTA系列)等;分选机包括重力下滑式分选机(C1、C3、C3Q、C37、C5、C7、C8、C9、C9Q系列)、平移式分选机(C6、C7R系列)等。 长川科技的半年财报 在刚过去的上半年,长川科技取得了1.15亿元的营收,同比增长了76.78%,在净利润方面,公司也获得了2500多万的收入,同比增长了47.58%。在扣非之后,公司的净利润更是同比提供94%,创造了将近2200万元的收入。其中测试机占了公司营收的55%,分选机占了公司营收的40%。值得一提的是,该公司的分选机毛利率高达75%,分选机的毛利也达到43.64%,这能够为公司创造不小的收益。 晶盛机电则是国产半导体设备的另一股势力。 该公司专业从事晶体生长、加工装备研发制造和蓝宝石材料生产的高新技术企业。主营产品为全自动单晶生长炉、多晶硅铸锭炉、区熔硅单晶炉、单晶硅滚圆机、单晶硅截断机、全自动硅片抛光机、双面研磨机、单晶硅棒切磨复合加工一体机、多晶硅块研磨一体机、叠片机、蓝宝石晶锭、蓝宝石晶片、LED灯具自动化生产线等。 据财报显示,公司上半年的营收公司实现营业收入12.44亿元,同比增长53.79%,实现归属于公司普通股股东净利润2.85亿元,同比增长101.20%。其中晶体生产设备是该公司主要的营收来源。数据显示,该公司2018年上半年在这块的收入为10.37亿元,同比增长了56.06%,毛利率方面也达到40.96%。 1998年成立于美国,2007年引进国内,去年在美股上市的盛美半导体则是国产半导体设备的又一有生力量。依赖于其基于SAPS和TEBO技术打造的半导体清洗设备,该公司在全球市场建立了重要影响力。统计显示,该公司上半年的营收为3061.6万美元,同比提升了112%,毛利方面也从去年的40.6%提升到今年的45.2%。 盛美半导体的半年财报 对比看一下国外的先进设备上市公司。 荷兰光刻机制造商ASML在2018年上半年的营收为37.54亿欧元,同比提升了约80%,今年上半年的毛利率也接近60%,净利率也有32%;另一家设备商应用材料在2018财年的上半年营收为40.1亿美元,较之去年的30.45亿美元提升了31.7%。而在泛林集团方面,他们2018年上半年的收入为31.26亿美元,毛利率为48%;科磊则在今年上半年获得了20.91亿美元的营收,也创造了31.4%的净利率。 对比以上数据,国产厂商无论是营收还是利润率,较之国外先进公司都有一定的差距。而从技术上看,国内提供的只是一些技术含量相对较低的设备,但在高端领域(例如光刻机),国内无论是上司公司或者是非上司公司,在这方面表现都是不够理想。 正如某国产设备厂商所说,虽然公司拥有相关核心技术的自主知识产权,相关产品技术已达国内领先水平,但与集成电路测试设备领域国际知名企业相比仍存在一定差距,公司需持续投入进行技术开发和创新。如果公司不能紧跟国内外专用设备制造技术的发展趋势,充分关注客户多样化的个性需求,或者后续研发投入不足,将面临因无法保持持续创新能力而导致市场竞争力降低的风险。 但我们也应该看到国产设备厂商积极的一面。譬如这些厂商都在加快布局更多设备,加入更多平台的开发。厂商的营收也在最近几年国内良好的半导体环境下,获得了不错的增长,毛利率方面也有不错的表现。希望这些厂商能够再接再厉。 封测厂:率先突破 封测厂则是国内半导体表现相对出色的一个领域。依赖于过去多年的自主开发和兼并,国内的长电科技、通富微电和华天科技都在封测方面获得了不错的成绩,也是国内半导体产业链中最强的环节,且这三家公司也都在国内上市。 首先看一下长电科技,报告期公司实现营业收入 113.03 亿元人民币,同比增长 9.50%;营业利润 5,960 万元,上年同期为-21,655 万元,同比增加 27,615 万元;净利润 2,073 万元,上年同期为-19,297 万元,同比增加 21,370 万元。归母净利润 1,085.92 万元,比上年同期下降 87.80%,主要系 2017 年 1-5 月合并星科金朋比例为 39.39%,同年 6 月完成资产重组后合并范围为 100%。 长电科技的半年财报 长电科技公司的主营业务为集成电路、分立器件的封装与测试以及分立器件的芯片设计、制造;为海内外客户提供涵盖封装设计、焊锡凸块、针探、组装、测试、配送等一整套半导体封装测试解决方案。整合了星科金朋的后,目前公司产品主要有 QFN/DFN、BGA/LGA、FCBGA/LGA、FCOL、SiP、WLCSP、Bumping、MEMS、Fan-out eWLB、POP、PiP 及传统封装 SOP、SOT、DIP、TO 等多个系列。产品主要应用于计算机、网络通讯、消费电子及智能移动终端、工业自动化控制、电源管理、汽车电子等电子整机和智能化领域。 从以上业绩看来,长电的亏损正在进一步缩小,那就意味着整并星科金朋的效果开始显现,假以时日,长电追上甚至赶超日月光集团,指日可待。长电科技也表示,在高端封装技术(如 Fan-out eWLB、WLCSP、SiP、BUMP、PoP 等)已与国际先进同行并行发展,在国内处于领先水平,并实现大规模生产。根据研究机构 Yole Développement 报告,在先进封装晶圆份额方面,以全球市场份额排名:英特尔 12.4%、矽品 11.6%、长电科技 7.8%位列第三。 来到天水华天科技方面,财报显示,2018年上半年,公司共完成集成电路封装量166.35亿只,同比增长9.20%,晶圆级集成电路封装量26.32万片,同比增长16.66%,LED产品55.97亿只,同比增长129.39%。2018年1-6月完成营业收入37.86亿元,同比增长14.30%,受生产成本上升及控股子公司华天昆山产能释放不足等因素影响,公司2018年上半年经营业绩较上年同期有所下降,2018年1-6月归属于上市公司股东的净利润2.10亿元,同比下降17.46%。 华天科技的半年财报 华天科技表示,2018年上半年,公司欧美地区销售收入占比进一步增大,以硅麦为代表的MEMS产品产量快速增长,LED事业部客户结构优化工作进展顺利,品牌效应增强,本报告期实现扭亏为盈。公司具有自主知识产权的“硅基扇出型封装技术”已完成平面多芯片系统封装技术开发,目前和多个国内外客户进行产品开发。 在车载图像传感器方面,公司的产品也通过了可靠性评估,获得行业标准IATF16949认证。滤波器封装进入批量生产。完成了3D VNAND 8层叠芯工艺验证工作并进行了16层叠芯工艺开发。光学指纹完成封装工艺技术开发验证并具备量产能力。晶圆级凸点技术实现了16/14纳米节点芯片的规模化量产。 再看一下通富微电,2018年上半年,公司整体实现营业收入34.78亿元,同比增长16.98%;实现营业利润1.02亿元,同比增长14.08%。其中,崇川工厂规模继续保持增长,营业收入同比增长16.91%;通富超威苏州、通富超威槟城营业收入同比增长约7.5%;南通通富、合肥通富销售均过亿,同比增长分别达到419.33%、236.14%,南通通富上半年实现扭亏为盈,合肥通富亏损较去年同期明显减少。 通富微电的营收数据 据介绍,在上半年,通富微电抢抓市场机遇,进一步优化调整客户结构和产品结构,优质客户集中度不断增强,2018年上半年前十大客户销售额占比67.6%,较2017年同期增加3.6%;欧美、亚太、国内各销售区域销售额和各类型产品均衡发展,FC、WLP、BGA、QFN等先进封装产品需求数量和销售额增幅均超过10%,先进封装的占比超过70%以上,为上半年销售额增长奠定了坚实的基础。 值得一提的是,通富超威苏州、通富超威槟城积极应对AMD订单,继续推进7nm新产品导入工作,以零误差的质量,技术和人员获得客户信任,深化与非AMD客户的合作;通富超威苏州成功认证为三星客户在中国的第一家且唯一的FCBGA封装厂。 上半年,新产品研发硕果累累。崇川总部12英寸Copper Pillar CP测试顺利量产,具备了Turnkey的能力;南通通富成功导入FAN-OUT项目;面向物联网行业领先解决方案,全球最小NB-IOT模块开始试量产;超薄BGA、MEMS-LGA和4G phase2 PA产品成功通过考核并进入量产;5G PA项目三次出样均顺利完成,客户端反馈良好,为将来5G产品的导入积累了经验。 可以看到,在并购了AMD槟城封测厂之后,通富在技术方面有了不错的提升,但是在利润方面,还有很大的提升空间。 作为对比,我们看一下台湾的日月光控股和美国的安靠科技。 日月光控股是由台湾的矽品和日月光合并而出的巨型封装企业,数据显示,他们的封测业务在过去两个季度营收为为916.06亿新台币(约为201.5亿人民币),营业毛利为185.46亿新台币(约为201.5亿人民币)(40.8亿人民币),毛利率为20%,净利则为80.16亿新台币(约合17.63亿人民币),净利率为8.7%。 日月光控股在封装测试方面的表现 来到安靠方面,他们在刚过去的上半年营收为20.91亿美元,毛利为3.27亿美元,毛利率为15.6%。净利方面,这个美国大厂在过去的半年录得了4337.9万,同比有了明显下降。 安靠在过去三个月和过去六个月的表现汇总 可以看到,来自中国台湾与美国的封装厂凭借本身的技术积累,还有芯片设计与晶圆代工上面的优势配合,他们在封测上面已经打造了领先的优势。以日月光集团为例,在今年四月,日月光的K25工厂正式动工,并将专攻高阶的3C、通信、车用、消费性电子,以及绘图芯片等应用领域。他们以高阶封装技术为核心的研发将会继续在这个领域保持领先,但优势会被逐渐拉近。 在江阴长电收购了星科金朋,通富微电收购了AMD的苏州和槟城的两个厂之后,他们都获得了不少先进方面的布局,再加上大陆最近几年在AI、挖矿和移动处理器等多方面的推进,多方携手能够推动国内企业在封测领域更上一层楼,假以时日,追上或者反超中国台湾和美国的厂商。这也许是中国大陆集成电路产业链,最有希望先突围的领域。 芯片设计:任重道远 这同样是中国大陆相对较弱的领域。无论是ADC、FPGA、或者PC处理器、服务器处理器方面,国内与国际先进水平依然有很大差距。但在经过最近几年,我们可以看到国内有为数不少的企业,在某些细分领域取得了不少的突破,为此我们摘选几个典型的厂商,说一下国产芯片企业的差距。 (1)兆易创新:国产Nor Flash和MCU的推动者 作为国产Nor Flash的领先者和MCU的大力推动者,兆易创新在今年上半年录得了11.07亿元的收入,同比增长了17.88%,同期归属于上市公司的净利润为2.19亿元,同比提升了38.52%。净利润为20%左右。据公司介绍,这是得益于公司在产品方面的推进更新所获得的。 兆易创新的半年财报 公司财报显示,他们将持续保持在NOR Flash方面的技术和市场的领先,提供了从 512Kb 至 512Mb 的系列产品,涵盖了 NOR Flash 市场的大部分容量类型,电压涵盖 1.8V、2.5V、3.3V 以及宽电压产品,针对不同应用市场需求分别提供高性能、低功耗、低成本、高可靠性等几个系列,产品采用领先的工艺技术节点和优化的设计,性能、成本、可靠性等在各个应用领域都具有显著优势。 在 NAND Flash 产品方面,产品容量从 1Gb 至 8Gb 覆盖主流SLC NAND Flash 容量类型,继续研发扩展到 32Gb,电压涵盖 1.8V 和 3.3V,提供传统并行接口和新型 SPI 接口两个产品系列,提供完备的高性能、高可靠性嵌入式应用 NAND Flash 产品线。公司 Flash 产品在性能、可靠性方面具有行业领先优势,有助于产品在工业和汽车等高阶应用领域取得市场优势,公司还通过合作方式开发更大容量、高阶的 eMMC、eMCP 领域,为手机、平板、嵌入式应用等应用提供了大容量 NVM 解决方案。 至于MCU方面,兆易创新方面表示,GD32 MCU 已经拥有 300 余个产品型号、20 个产品系列及 11 种不同封装类型,是中国首个 ARM® Cortex®-M3 及 Cortex®-M4 内核通用 MCU 产品系列,不仅提供了业界最为宽广的 Cortex®-M3 MCU 选择,更以领先的技术优势持续推出Cortex®-M4 MCU 产品。GD32 MCU 所有型号在软件和硬件引脚封装方面都保持相互兼容,全面支持各种高中低端嵌入式应用与升级。 在过去半年,兆易创新还推出了基于 120MHz Cortex®-M4 内核的 GD32E 系列高性能主流型微控制器新品,以持续领先的处理效能,持续增强的资源配置,持续优化的成本价格,持续创新的商业模式,面向工控物联等主流型应用需求提供绝佳开发利器。 现在,他们也正在推动收购上海思立微,扩展屏下指纹识别这些产品线,且产品获得了国内知名厂商的使用,这对于兆易创新来说,是增强未来竞争力的一个重要方面。 作为对比,我们可以看到,中国台湾Nor Flash大厂旺宏上半年的营收为179亿新台币(约为39.38亿人民币),年增长率为36%,在毛利方面,也有40%以上,净利率也超过20%。能获得这样的表示,据分析人士表示,这一方面主要得益于Cypress和美光的推出,另一方面,因为AMOLED、蓝牙耳机等新应用的出现,给旺宏带来了新的成长空间。从旺宏角度看,他们的产品经过了AECQ100的认证,质量过关,获得了欧美日韩等高级客户的认可。对兆易创新来说,这也是一条可以学习的路径。 在MCU方面,兆易创新,也可以向ST、NXP等厂商学习。 (2)韦尔股份:兼顾分销与芯片设计的非典型代表 韦尔股份是国内芯片上市公司中的一个非典型,他们不但有芯片设计团队,另外还有分销团队。2018 年上半年,公司实现营业总收入 18.95 亿元,同比增长 107.26%;归属于上市公司股东的净利润 1.56 亿元,同比增长 164.90%;剔除公司 2018 年限制性股票股权激励摊销费用的影响,归属上市公司股东的净利润 2.67 亿元,同比增长 354.70%。其中分销业务贡献了公司六成以上的收入。 韦尔股份的半年财报 据介绍,在芯片设计方面,韦尔股份为半导体分立器件和电源管理 IC 等半导体产品的研发设计,以及被动件(包括电阻、电容、电感等)、结构器件、分立器件和 IC 等半导体产品的分销业务,这些产品广泛应用于移动通信、车载电子、安防、网络通信、家用电器等领域。目前,公司自行研发设计的半导体产品(分立器件及电源管理 IC 等)已进入小米、VIVO、酷派、魅族、华为、联想、摩托罗拉、三星、海信、中兴、波导、努比亚等国内知名手机品牌,以及海康、大华等安防产品的供应链。 得益于公司长期致力于TVS、MOSFET、肖特基二级管、IC 电源管理等产品的研究,凭借卓越的研发手段和能力,研发出一系列业界领先的核心技术。例如在 IC 电源管理芯片的核心技术能力来自于针对模拟电路的整体架构及设计模块的不断积累。公司采用严谨、科学的研发体系,从设计源头开始技术自主化模式,经过一代一代产品的实验、仿真、再实验,如此反复的 PDCA 循环开发体系,积累出自己的核心技术并经过实际验证,形成公司的核心技术并获得专利保护,产品性能处于国内先进水平,获得多家客户的认可。 韦尔股份所做的产品,对先进工艺的追求不高,但是对产品的设计、材料等方面的设计经验需求,注定韦尔股份在未来的发展上,需要投入更多的研发。 以全球做电路保护器件最好的厂商之一Littelfuse为例,他们在过去六个月获得了8.76亿美元,毛利也有3.19亿美元,毛利率也有36%。而他们能够获得这样的表现是自1927年成立以来的多年积累。 至于电源管理IC方面,这更是德州仪器、ADI和Maxim等厂商所擅长的领域,他们在全球模拟芯片市场的领导地位,是其他厂商撼动的,但纵观他们的发展过程,无论是在产品的多线覆盖,还是在收购方面上的表现,都值得韦尔股份学习。 (3)圣邦微电子:中国的德州仪器? 圣邦微电子是一家专注于高性能、高品质模拟集成电路芯片设计及销售的高新技术企业。在刚过去的上半年,公司实现销售总收入28,438.49万元,同比增长26.25%;归属于母公司股东的净利润4,097.21万元,同比增长26.01%。这主要依赖于他们丰富的产品线。 圣邦微的半年财报 据了解,圣邦微电子目前拥有16大类1000余款产品,涵盖信号链和电源管理两大领域,包括运算放大器、比较器、音/视频放大器、模拟开关、电平转换及接口电路、小逻辑芯片、AFE、LDO、DC/DC转换器、OVP、负载开关、LED驱动器、微处理器电源监控电路、马达驱动、MOSFET驱动及电池管理芯片等。 公司产品可广泛应用于消费类电子、手机与通讯、工业控制、医疗仪器、汽车电子等领域,以及物联网、新能源、可穿戴设备、人工智能、智能家居、无人机、机器人和共享单车等新兴电子产品领域。 圣邦微方面表示,在今年上半年,,他们投入了4538.08万元进行研发,这部分指出占了公司营业收入的15.96%,且完成了近百余款新产品的研发,产品涵盖信号链及电源管理两大产品领域。其中,信号链产品包括高性能运算放大器、HIFI音频放大器、模拟开关及接口电路等;电源管理产品则涵盖LED驱动电路、LDO、DC/DC转换器、微处理器电源监控电路、锂电池充电及保护管理芯片、OVP、马达驱动芯片以及负载开关等。 圣邦微不同产品线和不同地区的营收 国内很多分析师喜欢把圣邦微当做中国的小德州仪器,诚然,作为一个专注于模拟芯片研发的公司,他们在产品线上的广撒网,还有布局方面,的确和德州仪器有几分相似。但模拟龙头的模拟产品线在今年第二季度的营收就有26.90亿美元,这种差距不是国内厂商现在可以相比的。 但正如前面所说,如果能够学习德州仪器的成长路径,理解模拟芯片的对制程要求不高,但是对设计、工艺和材料理解有很高要求的特性,去尝试,去积累,只有这样做,才有可能走出一条独立自主的成长之路 除了以上厂商外,国内还有例如做MIPS处理器的君正,做Arm架构AP的全志,做指纹识别芯片的汇顶、做ISP芯片的富瀚微、做智能卡和安全芯片的国民技术等上市公司。纵观这些上市公司,他们几乎都有产品单一或整体竞争力不够强的特点。 以汇顶科技为例,他们主要的营收主要来源于其指纹识别芯片,但是因为厂商现在在转向Face ID方向,加上手机的市场的前景不被看好,导致了公司业绩在今年并没有之前如此亮眼。同样的问题也出现在全志科技身上,但是双方现在都在调整产品方向,为企业的未来寻找更新的成长空间。全志最新的财报显示,依赖于他们在智能硬件产品线、无线互联 产品线及存储芯片的出货增加,公司业绩有了大幅度增长。
  • 《后摩尔定律时代的PCB发展趋势分析》

    • 来源专题:后摩尔
    • 发布时间:2018-07-27
    • 1. 前言 摩尔定律作为电子制造产业链的金科玉律,一直屹立于科技发展的前沿,给整个电子制造产业链指明了非常明晰的发展方向,可谓厚泽万物。但近些年,由于IC制造过程中使用的光刻技术(Photolithography)相对于摩尔定律显得相对滞后,IC业界给予厚望的EUV(Extreme UltraViolet)光刻设备也在紧锣密鼓的研发中,技术成熟度尚达不到量产的水平,使得IC制造成本在晶圆节点(Wafer Node)不断缩小的情况下,成本呈现指数增长;另一方面,2017年,苹果A11/A10X、高通骁龙835、三星Exynos 8895、华为Kirin970和联发科Helio X30蓄势待发,晶圆节点已经发展到10nm量产的阶段,已经非常接近FinFET制程的物理极限5nm,也即即便EUV光刻设备可以量产使用,也无法改变摩尔定律即将死亡的趋势。那接下来电子制造行业该何去何从?业界和学界也给出了比摩尔定律更为多元化的答案:more moore(深度摩尔,IC制造角度的摩尔定律)和more than moore(超越摩尔,IC封装角度的摩尔定律),见图1: 图 1后摩尔定律时代Roadmap 深度摩尔(more moore,IC制造角度的摩尔定律),是延续CMOS(FinFET)的整体思路,在器件结构、沟道材料、连接导线、高介质金属栅、架构系统、制造工艺等等方面进行创新研发,沿着摩尔定律一路scaling(每两到三年左右,晶体管的数目翻倍),见图2 Logic IC的roadmap: 图 2Wafer Node Roadmap 目前深度摩尔一般适用于数字电路,如智能手机中的处理器(AP)和基带芯片(Base Band),均属于SoC的范畴。前面我们也提到,由于FinFET的物理极限是5nm,那么发展到5nm后如何继续呢?那就必须打破FinFET的结构和材料限制,开发和研究新的Transistor(switch)形式,如Tunneling FET(TFET)、Quantum Cellular Automata (QCA) 、SpinFET等,也即图1中所谓的Beyond CMOS。 超越摩尔(more than moore,IC封装角度的摩尔定律),主要侧重于功能的多样化,是由应用需求驱动的。之前集成电路产业一直延续摩尔定律而飞速发展,满足了同时期人们对计算、存储的渴望与需求。但芯片系统性能的提升不再靠单纯的暴力晶体管scaling,而是更多地依靠电路设计以及系统算法优化,同时集成度的提高不一定要靠暴力地把更多模块放到同一块芯片上,而是可以靠封装技术来实现集成。模拟/射频/混合信号模块等不需要最先进工艺的模块可以用较成熟且廉价的工艺实现(比如为模拟射频工程师所喜闻乐见的65nm),而数字模块则可以由先进工艺实现,不同模块可以用封装技术集成在同一封装中,而模块间的通讯则使用高速接口。这种集成方式即异质集成(heterogeneous integration),是目前在工业界和学界都非常火的SiP,不但可以减低成本,而且可以更加集成化,见图3(b)。智能手机中的射频前端模块、WiFi模块、蓝牙模块和NFC模块等模拟电路均适用于超越摩尔的情景。 回头再看摩尔定律的两个方向,无非就是SoC和SiP的差异,一个是IC设计角度,一个是IC封装角度,见图3,也可是数字电路与模拟电路的差异。 图3SoC与SiP 我们把视线从理论预测转向实际应用,苹果公司作为消费电子时代的弄潮儿,是大家讨论技术走向的焦点。随着苹果公司发布iPhone 10周年的临近,纪念版iPhone的消息更是纷至沓来,让人目不暇接,其最新技术走向更是值得大家分析揣测。 首先就是2016年9月iPhone 7的A10 Fusion首次采用TSMC 16nm 的InFoWLP封装技术,完全取代了以往的FCCSP的封装技术,而今年9月即将发布的纪念版iPhone A11将采用TSMC 10nm的InFoWLP封装技术,而与之对应的主板则会革命性地将载板的精细线路制造技术MSAP导入PCB行业,重新定义了电子制造产业链,由于原来的IC制造(TSMC)?IC封装(ASE)+IC载板?SMT(Foxconn)+PCB的制造流程改为IC制造(TSMC)? SMT(Foxconn)+PCB,也即把IC封装融入IC制造,PCB直接代替IC载板。那我们不难发现,这种是基于深度摩尔由于AP 升级(16nm至10nm)而带来的革命性改变。 其次是苹果Apple Watch的发布,其最具特色的就是S1芯片(见图4)的封装技术,即SiP封装技术(System in Package),不但把AP应用处理器(已经集成了SRAM内存)、NAND闪存、各种传感器、特殊用途芯片、IO及功耗管理IC封装到了一起,而且还把其他被动原件均集成在一块载板上,在这里其主板客串了两个角色:IC载板和PCB主板,其整个电子制造产业链也由传统的IC制造(TSMC)?IC封装(ASE)+IC载板?SMT(Foxconn)+PCB缩短为IC制造(TSMC)?IC封装(ASE)+IC载板,也即把SMT流程全部整合入IC封装,并采用IC载板代替PCB主板。从此我们也不难看出,这种就是基于超越摩尔由于封装技术的革新而带来的革命性整合。 从以上苹果公司最新的技术应用分析,我们可以看出,苹果、台积电、日月光和富士康四亲兄弟分别代表着IC设计、IC制造、IC封装和SMT四个领域正忠实地沿着深度摩尔和超越摩尔的路线前行,引领者整个电子制造行业的发展与变革,同时也潜移默化地影响着PCB制造者和IC载板制造者。作为PCB制造的从业者,更需要擦亮眼睛,做到envision it,enable it,只有这样才能永葆。下面我将从IC封装和IC载板技术方面谈起,更详细地介绍Fan-Out WLP、SLP和SiP,为PCB产业后续发展和远景规划提供建议。 2. IC封装技术发展趋势(含IC载板、Fan-Out WLP、SLP和SiP) 电子制造产业链包含前端的高端电子产业链(IC设计、IC制造和IC封装)和后端的SMT贴件及组装,所以IC封装技术属于高端电子制造领域极其重要的一环,其技术发展趋势同样受摩尔定律的影响,当然现阶段同样受困于摩尔定律的局限性影响。随着高速数据传输的需求及无线技术的飞速发展,沿着深度摩尔的方向,芯片尺寸不断缩小,I/O数不断增加,传统的IC封装正逐渐由Lead frame、Wire Bonding转向Flip Chip,见图4,从而避免互联通道过长对数据传输通道造成的信号损失;当IC制造受到诸多限制因素,摩尔定律逐渐趋缓时,人们不得不开辟超越摩尔的发展道路,从最初的单个IC对应单个载板的封装走向多个IC对应单个载板的SiP封装(2D、2.5D、3D封装)。 图 4 封装技术发展Timeline 为了更详细的了解IC封装技术及其所包含的IC载板技术,我们需要将视角由摩尔定律转向IC实际应用。纵观ICT时代,电子制造技术的主要驱动来源于两个方面:第一,以智能手机为核心的消费电子,第二,以大数据云计算为核心的高性能计算机,不同的应用对应不同的IC封装和IC载板,见图5: 图5IC封装应用及演变趋势 从图中我们也可看出,主流IC封装主要包括3个大类:传统BGA/CSP封装、WLP封装和SiP,所以我将从以下三大类封装阐述IC载板、SLP、FoWLP及SiP的差异。 2.1 传统BGA/CSP封装及IC载板 广义上的封装包括两部分,一级封装IC载板和二级封装PCB(SMT),我们所说传统的BGA/CSP封装即为一级封装,即把裸芯片通过wire bonding或是flip chip的方式与IC载板进行互联然后塑封即完成了封装。 由于一级封装时,IC裸芯片与IC载板互联时一般采用高熔点的铅锡合金,熔点在300度以上,高出二级封装SMT焊接温度260度40多度,所以对IC载板的耐热性及CTE(α2 X、Y CTE 5-7ppm/℃)要求极高,这就是IC载板使用的板料必须为高刚性低CTE BT板料或FR5板料的原因,也是IC载板区别于PCB(α2 X、Y CTE 15ppm/℃)的第一大特点。 2.1.1 IC载板的类型 应用于智能手机的消费电子IC封装主要考虑便携性、低成本等因素,一般采用CSP封装,封装尺寸较小,而应用于高性能计算机的IC封装,主要考虑性能,一般采用较为大型的、I/O数非常多的BGA封装。 2.1.2 IC载板精细线路加工技术 随着IC设计节点的不断缩小,IC尺寸也不断缩小,从而导致了IC封装时的Bump pitch也逐渐缩小,从下图可以看出,当IC Bump Pitch在150um以下时,常规的tenting酸蚀流程加工已经无法满足IC载板的精细线路加工要求,必须采用MSAP、SAP或是类似流程。这是IC载板区别于PCB的第二大特点。 2.2 WLP及SLP 晶圆级封装(WLP,Wafer Level Package) 的一般定义为直接在晶圆上进行大多数或是全部的封装测试程序,之后再进行切割(singulation)制成单颗组件。而重新分配(redistribution layer, RDL)与凸块(bump)技术为其I/O布线的一般选择,从而摆脱了对IC载板的依赖,封装成本大大降低。WLP封装具有较小封装尺寸(CSP),但同时,由于凸块全部位于芯片下方,I/O数受到大大限制,所以,WLP封装一般又称为WLCSP或是Fan-In WLP,目前多用于低脚数消费性IC的封装应用。 伴随IC芯片I/O数目增加,对锡球间距(Ball Pitch)的要求更加严格, 目前Ball Pitch已经发展至0.35mm,如果持续降低,将会造成下游PCB制造成本大大增加,于是Fan-Out WLP应运而生,见图9:所谓Fan-Out,即I/O bump可以通过RDL层扩展至IC芯片周边,在满足I/O数增大的前提下又不至于使Ball Pitch过于缩小从而影响PCB加工。 当然,Fan-Out WLP除了满足不断增加的I/O数的需求外,最大的特点就是其采用RDL层布线代替了传统IC封装所需的IC载板,从而大大降低了整体封装厚度,这一点极大地适应了消费类电子尤其是智能手机对厚度的极端苛求。基于此点,传统的FC-CSP和FC-BGA封装也逐渐向Fan-Out WLP过渡,当然也可理解为Fan-Out WLP是Fan-In WLP和FC载板封装的技术融合。可见Fan-Out WLP发展前景非同一般。 伴随Fan-Out WLP技术兴起,相配套的PCB由于使用了IC载板的精细线路加工技术MSAP,其加工难度却又远高于常规HDI。另外,由于IC芯片采用Fan-Out WLP后,已经不再是裸芯片(IC载板是裸芯片封装,这也是IC载板区别于PCB的第三大特点),所以与之配套的PCB并不能称为载板,根据目前苹果电子产业链的业内人士所述,把采用Fan-Out WLP封装和采用MSAP工艺加工的PCB称为类载板PCB(SLP,Substrate-like PCB)。Apple 2016年发布的iPhone7的A10 Fusion已经采用TSMC InFoWLP工艺,但PCB仍然采用酸蚀流程,据了解,2017的A11芯片也将延续TSMC InFoWLP工艺,并且已经确定PCB采用MSAP流程,所以,类载板PCB的定义和技术指标也变得更加具体。 2.3 SiP 根据国际半导体路线组织(ITRS)的定义,SiP是从封装的角度出发,对不同芯片进行并排或叠加的封装方式,将多个具有不同功能的有源电子元件与可选无源器件,以及诸如MEMS或者光学器件等其他器件优先组装到一起,实现一定功能的单个标准封装件,形成一个系统或者子系统。 SiP可相当于一系统载板的相关功能芯片、电路的总和,而依据不同的功能芯片进行系统封装,可以采简单的Side by Side的MCM(Multi-chip Module)技术(2D Package),也可利用相对更复杂的多芯片封装MCP(Multi-chip Package)技术、芯片堆叠(Stack Die)等不同难度与制作方式进行系统组构(2.5D和3D Package)。也就是说,在单一个封装体内不只可运用多个芯片进行系统功能建构,甚至还可将包含前述不同类型器件、被动元件、电路芯片、功能模组封装进行堆叠,透过内部连线或是更复杂的3D IC技术整合, 构建成更为复杂的、完整的SiP系统功能。 3. 未来电子制造技术的发展趋势及电子制造产业链整合 在后摩尔定律时代,正如前言所述,整个电子产业链正沿着深度摩尔和超越摩尔两条道路前行,也潜移默化的整合着整个电子制造产业链的布局。 3.1从深度摩尔角度看,Fan-Out WLP将延续封测领域的“先进制程”,晶圆厂抢食封装厂订单 随着晶圆厂在先进制程上的进展,不断满足摩尔定律的要求,每一颗晶圆的尺寸在不断缩小。然而,同制造技术不同,后道封测并不完全遵从摩尔定律的发展,换言之,直接在晶圆上的植球尺寸,不会满足同比例缩小的技术演进。对于封测厂商来说,随着I/O口的增多和晶圆尺寸的缩小,如何再满足封装管脚的引出是一大挑战。而对于晶圆厂来说这确是一个机遇。今年9月即将发布的纪念版iPhone A11将采用TSMC 10nm的InFoWLP封装技术,而与之对应的主板则会革命性地将载板的精细线路制造技术MSAP导入PCB行业,重新定义了电子制造产业链,由于原来的IC制造(TSMC)?IC封装(ASE)+IC载板?SMT(Foxconn)+PCB的制造流程改为IC制造(TSMC)? SMT(Foxconn)+PCB,也即把IC封装融入IC制造,PCB直接代替IC载板。 如此,曾经一度由封装厂主导和掌控的IC封装市场逐渐被IC制造企业晶圆厂吞食。各大晶圆厂如三星和Intel也在积极布局类似于InFoWLP的高端封装技术,逐渐抢夺原有IC封装厂的市场订单。 3.2从超越摩尔角度看,SiP将重构封测厂的地位和角色,向方案解决商转变 Apple Watch S1芯片的SiP封装,其整个电子制造产业链也由传统的IC制造(TSMC)?IC封装(ASE)+IC载板?SMT(Foxconn)+PCB缩短为IC制造(TSMC)?IC封装(ASE)+IC载板,也即把SMT流程全部整合入IC封装。 如此,封装厂需要提供:从芯片封装到系统集成的整体解决方案;具备系统设计和测试能力;除了传统芯片封装之外,EMI防护,3D/嵌入式封装结构,嵌入式天线等高集成度方案的know how,都将由封装厂来掌握。进一步而言,封装厂将从单纯地为某一家IC设计企业提供芯片封装方案,转变成为下游的整机商提供完整的系统解决方案。 3.3 PLP(Panel Level Package)将会对原有电子制造产业链做最深刻的整合 随着SiP封装技术的不断发展,越来越多的元器件被埋入IC载板,原来的埋入被动元件已经司空见惯,埋入主动元件如IC等正方兴未艾,以进一步提升集成度。 同时,随着IC制造领域的光刻对位技术的逐步提升,晶圆尺寸逐渐由200mm、300mm向450mm、500mm的大拼板方向提升,所以越来越多的科技工作者认为,如果直接将IC等主动元件和其他被动元件在PCB 大拼板加工过程中直接埋入,那将大大缩短整个电子制造产业链。 目前已有多个电子行业从业单位开发出相应的PLP产品,有PCB厂家AT&S的ECP(Embedded Components Packaging),有IC封装厂家ASE的a-EASI(advanced-Embedded Assembly Solution Integration),也有IC载板厂家Kinsus的EAS(Embedded Actives Substrate)。 综上所述,在后摩尔定律时代,加速电子产业链上下游的整合势在必行,苹果公司的iPhone A11 InFo WLP和Apple Watch S1 SiP正是这种趋势的最佳见证。这也是PCB从业者在后摩尔时代所应该看到和追随的。无论是Fan-Out WLP的类载板还是SiP的载板均需要使用到MSAP和SAP等类似精细线路加工技术,所以当前PCB行业的发展方向应该相对明确,就是开发MSAP和SAP精细线路加工技术。同时,鉴于目前电子制造行业整合趋势明显,融合了SMT贴装技术(Picking and Placing Machine)和PCB制造技术的埋入元器件技术将会同步得到发展,也是我们PCB行业远期重点关注对象。